Scaling Analysis of On-Chip Power Grid Voltage Variations in Nanometer Scale ULSI

نویسندگان

  • AMIR H. AJAMI
  • KAUSTAV BANERJEE
  • MASSOUD PEDRAM
چکیده

This paper presents a detailed scaling analysis of the power supply distribution network voltage drop in DSM technologies. The effects of chip temperature, electromigration and interconnect technology scaling (including resistivity increase of Cu interconnects due to electron surface scattering and finite barrier thickness) are taken into consideration during this analysis. It is shown that the voltage drop effect in the power/ground (P/G) distribution network increases rapidly with technology scaling, and that using well-known countermeasures such as wiresizing and/or decoupling capacitor insertion which are typically used in the present design methodologies may be insufficient to limit the voltage fluctuations over the power grid for future technologies. It is also shown that such voltage drops on power supply lines of switching devices in a clock distribution network can introduce significant amount of skew which in turn degrades the signal integrity.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Signaling Techniques for NoC

The gate length of a few tens of a nanometer for CMOS has become a distinct possibility due to technology scaling. Furthermore, the amount of transistors in a single die is increasing steadily over time towards gigascale integration (GSI) level. This development creates a noise and power dissipation problems into a system design. In addition to this, signaling over nanometer interconnects repre...

متن کامل

Analysis of Propagation Delay Deviation under Process Induced Threshold Voltage Variation

Process variation has become a major concern in the design of many nanometer circuits, including interconnect pipelines. The primary sources of manufacturing variation include Deposition, Chemical Mechanical Planarization (CMP), Etching, Resolution Enhancement Technology (RET). Process variations manifest themselves as the uncertainties of circuit performance, such as delay, noise and power con...

متن کامل

DC Voltage Control and Power-Sharing of Multi-Terminal DC Grids Based on Optimal DC Power Flow and Flexible Voltage Droop Strategy

This paper develops an effective control framework for DC voltage control and power-sharing of multi-terminal DC (MTDC) grids based on an optimal power flow (OPF) procedure and the voltage-droop control. In the proposed approach, an OPF algorithm is executed at the secondary level to find optimal reference of DC voltages and active powers of all voltage-regulating converters. Then, the voltage ...

متن کامل

Simultaneous switching noise in on-chip CMOS power distribution networks

Simultaneous switching noise (SSN) has become an important issue in the design of the internal on-chip power distribution networks in current very large scale integration/ultra large scale integration (VLSI/ULSI) circuits. An inductive model is used to characterize the power supply rails when a transient current is generated by simultaneously switching the on-chip registers and logic gates in a...

متن کامل

Performance Analysis of Boostable Repeater in Different VLSI Interconnects and Applications

In the era of Nanometer technology variation of process aging of circuits cause vulnerable to establish circuits with the characteristics of adapting themselves and thereby a chance to compensate changes with the proposed one. Aging of circuitry and variations in process are main problems to analyze the efficiency of power circuit interconnects as a adaptability of power requirements must be as...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2004